ID:13711 VHDL attribute error at <location>: object with attribute "<name>" must have correct number of dimensions

CAUSE: In a VHDL Design File (.vhd) at the specified location, you attempted to use the specified attribute for an object. However, the object does not have the number of dimensions that the attribute requires. For example, an attribute that returns the length of an array requires an array type object, but the object may have a scalar type.

ACTION: Change the attribute or the object so that the number of dimensions the attribute requires matches the number of dimensions the object has.