ID:24170 VHDL error at <location>: semicolon after last interface declaration is only supported in VHDL 1076-2019

CAUSE: Intel Quartus Prime Synthesis generated the specified error message for the specified location in a Design File.

ACTION: Fix the problem identified by the message text. A future version of the Intel Quartus Prime software will provide more extensive Help for this error message.