ID:14697 Cannot find Memory Initialization File or Hexadecimal (Intel-Format) File <name> for ROM instance <name>

CAUSE: You implemented a ROM logic that requires an initial memory content file, specifically, a Memory Initialization File (.mif) or Hexadecimal (Intel-Format) File (.hex), which is needed to initialize the ROM. However, the Quartus Prime Compiler cannot read the MIF or HEX File because either the file does not exist or it has a format problem.

ACTION: Please specify the correct location, including the file name and extension, of the MIF or HEX File. If the MIF or HEX File is in a directory other than the project directory, specify the absolute path. Finally, check that file is in correct format.