Intel® Quartus® Prime Standard Edition

ข้อมูลจำเพาะ

  • คอลเล็คชั่นผลิตภัณฑ์ เครื่องมือพัฒนาฮาร์ดแวร์ Intel® FPGA
  • สถานะ Launched
  • วันที่วางจำหน่าย 2015
  • เวอร์ชันล่าสุด 23.1
  • วันที่จำหน่ายล่าสุด December, 2023
  • การออกสิทธิ์การใช้งาน Single ordering part number will give you both Standard edition and Pro edition
  • รายการที่รวม Intel® Quartus® Prime Standard Edition, Questa*-Intel® FPGA Edition, Intel® High Level Synthesis Compiler
  • อุปกรณ์ที่รองรับ Intel® Arria® 10, Intel® Cyclone® 10 LP, Stratix® V, Arria® V, Cyclone® V, Stratix® IV, Cyclone® IV, Arria® II, MAX® devices
  • ระบบปฏิบัติการที่รองรับ Windows* 10,Windows Server* 2012 Enterprise,Windows Server* 2016 Enterprise,Windows Server* 2019 Enterprise,Red Hat* Enterprise Linux* 7,Red Hat* Enterprise Linux* 8,CentOS* 7.5 ,CentOS* 8.0,SUSE* SLE 12,SUSE* SLE 15,Ubuntu* 16.04 LTS,Ubuntu* 18.04 LTS,Ubuntu* 20 LTS

ข้อมูลเสริม

  • รายละเอียด The Intel® Quartus® Prime Standard Edition Software includes extensive support for earlier device families in addition to the Intel® Cyclone® 10 LP device family.
  • ข้อมูลสรุปผลิตภัณฑ์ ดูตอนนี้
  • URL ข้อมูลเพิ่มเติม Download

ไดรเวอร์และซอฟต์แวร์

ไดรเวอร์และซอฟต์แวร์ล่าสุด

พร้อมให้ดาวน์โหลด:
ทั้งหมด

ชื่อ

วันที่วางจำหน่าย

วันที่เปิดตัวผลิตภัณฑ์ครั้งแรก